Stap 7: LED Decoder Module
De LED-Decoder neemt ingangssignalen van de schakelaars en de LED inschakelen signaal van de down-teller. De LED-decoder functie is het converteren van de binaire signalen toegewezen van de schakelaars aan een BUS van LED signalen. De BUS zal vervolgens bepalen voor het overeenkomstige bedrag van LEDs die op. Onze decoder is geïmplementeerd met case-statements en converteert het binaire ingangen aan decimale uitgangen niet eigenlijk, maar de functionaliteit van het circuit lijkt te doen, als het bedrag van de LED's op in decimale correlaat het binaire getal ingevoerd.
entity LED_dec is<br> Port ( LED_en : in STD_LOGIC; sw : in STD_LOGIC_VECTOR (2 downto 0); ppl : out STD_LOGIC_VECTOR (6 downto 0)); end LED_dec;
architecture Behavioral of LED_dec is
begin process (LED_en, sw) begin if (LED_en = '1') then case sw is when "000" => ppl <= "0000001"; when "001" => ppl <= "0000001"; when "010" => ppl <= "0000011"; when "011" => ppl <= "0000111"; when "100" => ppl <= "0001111"; when "101" => ppl <= "0011111"; when "110" => ppl <= "0111111"; when "111" => ppl <= "1111111"; when others => ppl <= "0000000"; end case; end if;
De LED-signalen worden alle 0 wanneer LED-signaal 0 is.
if (LED_en = '0') then ppl <= "0000000"; end if; end process;
end Behavioral;