Stap 13: Beperking bestand toevoegen
Ga naar Digilent Nexys 4 webpage en download het pitdossier xdc
http://www.digilentinc.com/Data/Products/NEXYS4/ne...
Opslaan onder een directory dat u kunt beschikken over en rits het open
Onder het deelvenster van de project manager. Dubbel klik op 'Bron toevoegen'. Kies "Toevoegen of beperking maken". Klik op volgende
Klik op bestand toevoegen. Kies de "Nexys4_Master.xdc". Klik op Voltooien
Vouw de map beperking onder bronnen panel en dubbelklik het bestand xdc
Uncomment de SW 0 tot en met 3 door het schrappen van de "#". De vetgedrukte delen moeten niet een "#" aan het begin
Bank = 34, Pin naam = IO_L21P_T3_DQS_34, Sch naam = SW0
set_property PACKAGE_PIN U9 [get_ports {sw [0]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw [0]}] Bank = 34, Pin naam = IO_25_34, Sch naam = SW1 set_property PACKAGE_PIN U8 [get_ports {sw [1]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw [1]}] Bank = 34, Pin naam = IO_L23P_T3_34, Sch naam = SW2 set_property PACKAGE_PIN R7 [get_ports {sw [2]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw [2]}] Bank = 34 , Pin naam = IO_L19P_T3_34, Sch naam = SW3 set_property PACKAGE_PIN R6 [get_ports {sw [3]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw [3]}]
Uncomment de led 0 door het schrappen van de "#". Het vetgedrukte gedeelte moet niet een "#" aan het begin
Bank = 34, Pin naam = IO_L24N_T3_34, Sch naam = LED0
set_property PACKAGE_PIN T8 [get_ports {led [0]}] set_property IOSTANDARD LVCMOS33 [get_ports {led [0]}]