Stap 7: XDC bestand maken
Het circuit is uitgevoerd maar de Xilinx instrumenten nog moeten weten welke fysieke pinnen op de FPGA de input en output-poorten worden toegewezen aan. Het XDC bestand krijgt de tools voor deze informatie. Kies uw boord in het drop-down menu om te zien de XDC-bestand dat correspondeert met uw Raad van bestuur, zoals de locatie van de pin van LD0 en SW0 van bord tot bord verschillen.
1 set_property PACKAGE_PIN U9 [get_ports {sw}]
2 set_property IOSTANDARD LVCMOS33 [get_ports {sw}]
3 set_property PACKAGE_PIN T8 [get_ports {leidde}]
4 set_property IOSTANDARD LVCMOS33 [get_ports {leidde}]
U vindt de locatie u nodig uit het schema van uw FPGA-board, of u kunt downloaden de master XDC voor uw Raad van bestuur van de Digilent website en kopiëren van de overeenkomende regels voor deze stap.
Vergeet niet dat het XDC bestand verbindt het signaal dat u in uw .v bestand naar de fysieke pin op het bord beschreven. Als het signaal sw in het .v bestand heet, moet dan de fysieke pin sw in het .xdc-bestand genoemd.