Stap 5: Actualisering van de beperkingen en de bovenste Module uitgangen
Om het signaal om naar de USB-poort van de Basys 3 de xdc moet bestand worden bijgewerkt met de volgende regels toegevoegd:
set_property PACKAGE_PIN A18 [get_ports tx] set_property IOSTANDARD LVCMOS33 [get_ports tx]
Dit leidt de netto genaamd tx naar pin A18 op de FPGA. Om deze draad in de bovenste module de lijn moet uitgang tx ook worden toegevoegd aan de lijst van de I/O.
Als u de master .xdc bestand gebruikt voor de Basys 3 net uncomment lijnen 283 en 284.